드래그 앤 드롭으로
즐겨찾기 아이콘 위치 수정이 가능합니다.
게시물ID : programmer_17586짧은주소 복사하기
작성자 : snakon★
추천 : 0
조회수 : 362회
댓글수 : 0개
등록시간 : 2016/06/12 22:38:01
소스파일이 이렇게 되어있구요.
library IEEE;
use IEEE.std_logic_1164.ALL;
entity Feedbacked_inverter is
port(outP: out std_logic);
end Feedbacked_inverter;
architecture Equations of Feedbacked_inverter is
signal C, CLK : std_logic :='0';
begin
C <= CLK;
CLK <= not C after 10 ns;
outP <= C;
end Equations;
테스트벤치 소스는
library IEEE;
use IEEE.std_logic_1164.all;
entity tb_Fig10_2 is
-- No port defined
end tb_Fig10_2;
architecture ttest2 of tb_Fig10_2 is
component Feedbacked_inverter
port(outP : out std_logic);
end component;
signal aa: std_logic;
begin
tb_MUX: Feedbacked_inverter port map ( aa );
end;
이렇게 돼있는데
테스트벤치 소스는 어떻게 짜는건가요?
디지털공학 공부중인데 책에 테스트벤치 소스에 대해서는 설명이 없어서요...
댓글 분란 또는 분쟁 때문에
전체 댓글이 블라인드 처리되었습니다.
새로운 댓글이 없습니다.